基于FPGA的PWM发生器(vhdl)

时间:2012-01-15 09:33:49
【文件属性】:
文件名称:基于FPGA的PWM发生器(vhdl)
文件大小:36KB
文件格式:RAR
更新时间:2012-01-15 09:33:49
PWM PWMPWM基于FPGA的PWM发生器(vhdl)
【文件预览】:
PWM
----STARTUP.lst(12KB)
----pwm.Opt(1KB)
----pwmdesign.LST(454B)
----pwm.plg(139B)
----pwm(22KB)
----STARTUP.A51(5KB)
----pwm.Uv2(2KB)
----pwm.OBJ(26KB)
----pwm.M51(32KB)
----pwm.hex(6KB)
----pwm.LST(24KB)
----pwm.c(12KB)
----STARTUP.obj(892B)
www.pudn.com.txt

网友评论

  • 有一定的参考价值。但是不给力。
  • 能参考,可惜离我的需求还差点
  • 不是Vhdl语言,没参考价值
  • 电路图可以在其他地方搜到,配合下挺不错·
  • 是vhdl语言的pwm发生程序,比较详细,值得借鉴