正弦信号与二选一选择器设计

时间:2013-01-14 18:52:49
【文件属性】:
文件名称:正弦信号与二选一选择器设计
文件大小:1.48MB
文件格式:RAR
更新时间:2013-01-14 18:52:49
正选信号 选择器 这是eda的作品。LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_UNSIGNED.all; ENTITY singt IS PORT ( clk : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END; ARCHITECTURE dacc OF singt IS component sin_rom PORT ( address : IN STD_LOGIC_VECTOR (5 DOWNTO 0); inclock : IN STD_LOGIC ; q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0) ); END component; SIGNAL Q1 : STD_LOGIC_VECTOR (5 DOWNTO 0); BEGIN PROCESS(CLK) BEGIN IF CLK'EVENT AND CLK='1' THEN Q1<=Q1+1; END IF; END PROCESS; u1:sin_rom port map(address=>Q1,q=>DOUT,inclock=>clk); end;

网友评论