基于FPGA的音乐播放器.txt

时间:2023-04-19 05:21:15
【文件属性】:
文件名称:基于FPGA的音乐播放器.txt
文件大小:3KB
文件格式:TXT
更新时间:2023-04-19 05:21:15
fpga FPGA来设计一个蜂鸣器的播放器,首先我们至芯板子上FPGA的晶振是50Mhz的,所以我们首先需要一个锁相环模块(PLL)来得到一个比较低的频率,然后在用这个比较低的频率来分出所需要的频率送往蜂鸣器,有了锁相环(PLL),有了锁相环,我们就需要有一个模块来保存我们的音乐,那就ROM模块,这个我们可以通过IP核来创建,有了PLL和ROM模块,我们还需要一样东西,那就是音乐的节拍控制器,就比如,我现在要发出一个低音1需要维持1秒钟,那怎么办呢,所以我们需要一个模块控制每0.25秒钟,ROM的地址才自动加一,若要发出一个低音1需要维持1秒钟,我们仅仅需要在ROM里面的连续4个地址数写上低音1即可

网友评论