FPGA写入CF卡数据,已经过试验验证

时间:2012-11-17 11:56:22
【文件属性】:
文件名称:FPGA写入CF卡数据,已经过试验验证
文件大小:8KB
文件格式:V
更新时间:2012-11-17 11:56:22
FPGA CF 读写 Verilog 本程序选择Xilinx公司生产的Virtex-Ⅱ系列FPGA来控制CF卡读写,FPGA高性能的内部存储器及丰富的时钟资源可以提供灵活可靠的时序和逻辑控制,而采用SanDisk公司出品的Extreme Ⅳ CF卡作为图像存储的介质,它是一种容量大、体积小、速度快、易携带的存储卡。 经过试验验证,可以正确写入CF卡数据。

网友评论

  • 可以把,不是很详细,思路差不多
  • 已验证,非常好,谢谢
  • 用verilog写的CF卡写程序,内部用状态机实现的,状态多达20多个,没有解释,不易阅读
  • 这个应该是IDE模式的,对IDE模式的电路具体接法不是很明白。我把所有管脚都连接到FPGA上,通过FPGA配置为IDE模式,验证没有成功。
  • 这个东西还是不错的,很好
  • 对我的帮助不大
  • 这个资料对项目帮助很大,谢谢
  • 验证了下,写是没有问题,但读的没有编程
  • 还是比较好的
  • 还没完全看懂,不过好像只有写程序,没有读过程
  • 改起来不太容易
  • 没有能解决我的问题
  • 程序实现了基本的功能,就是注释太少,看起来比较痛苦