Modelsim工程建立与testbench写法

时间:2020-12-27 09:28:20
【文件属性】:
文件名称:Modelsim工程建立与testbench写法
文件大小:1.44MB
文件格式:DOCX
更新时间:2020-12-27 09:28:20
Modelsim testbench 1、测试文件的功能是产生设计文件所需要的激励和接收设计文件传输过来的反馈,即产生设计文件的输入,反馈通过实例化接收。 2、测试文件的变量只需要定义,而不需要写成端口,因为不需要绑定管脚。 3、测试文件的内容包括变量定义、变量初始化、变量赋值、实例化。 3、变量定义都写在模块的开头,初始化只在上电后执行一次,初始化、变量赋值和实例化是并行执行的。

网友评论