verilog编写的FFT

时间:2013-04-09 09:58:37
【文件属性】:
文件名称:verilog编写的FFT
文件大小:9KB
文件格式:NONE
更新时间:2013-04-09 09:58:37
verilog 快速 傅里叶变换 FFT 此程序是用verilog编写的完整的基2FFT,即快速傅里叶变换。程序很详细,有部分解释说明使程序明白易懂。

网友评论

  • 很好的资料。谢谢楼主分享。
  • 最近刚开始接触这个,感觉有点难!
  • 是基本的16点fft。
  • 注释更完整点就好了
  • 还不错,但好像少了一个模块,不过整体上还可以
  • 网上下的代码仿真没结果也能接受,最近思路堵塞,看看楼主的结构
  • 就是仿真不能通过,状态机不能运行到底,不知道是不是我理解的不到位,再学习学习吧
  • 正在学习中,还算有用吧,自己把模块看懂了也没写出来,参考一下楼主的看看
  • 感觉代码里面还是注释少了点,难看懂