chisel-examples:凿子示例和代码片段

时间:2021-05-24 04:26:49
【文件属性】:
文件名称:chisel-examples:凿子示例和代码片段
文件大小:57KB
文件格式:ZIP
更新时间:2021-05-24 04:26:49
Scala 凿子的例子 该存储库是的代码示例的集合。 该收藏集已移至最新版本的凿子3,我已在收集了有关此举的 得到例子 $ git clone https://github.com/schoeberl/chisel-examples.git 该集合的组织方式如下: hello-world是一个自包含的最小项目,用于FPGA中的LED闪烁。 其余示例都植根于当前文件夹中。 所需工具 Java的最新版本(JDK 8或更高版本) Scala构建工具 运行示例 make alu为小型ALU生成Verilog文件。 使用Quartus和alu项目文件将其合成为DE0板。 使alu-test生成基于C ++的模拟并运行测试。 有关更多示例,请参见Makefile,或者直接运行sbt run来查看所有带有main的对象。 使用DE10-Nano的注意事项 将用于FPGA配置的开关更改为: +---
【文件预览】:
chisel-examples-master
----vivado()
--------basys3()
----vhdl()
--------uart_top.vhdl(1KB)
----src()
--------test()
--------main()
----quartus()
--------altde2-115()
--------de10-nano()
--------altde1()
--------bemicro_cv_a9()
--------altde0()
----LICENSE(1KB)
----build.sbt(331B)
----README.md(1KB)
----Makefile(826B)
----hello-world()
--------vivado()
--------verilog()
--------src()
--------quartus()
--------build.sbt(331B)
--------Makefile(64B)
--------README(1KB)
----bubble.gtkw(819B)
----TowardsChisel3.md(5KB)

网友评论