VHDL的主要描述语句

时间:2012-09-13 06:47:20
【文件属性】:
文件名称:VHDL的主要描述语句
文件大小:225KB
文件格式:DOC
更新时间:2012-09-13 06:47:20
VHDL顺序语句 VHDL并行语句 顺序语句是指完全按照程序中书写的顺序执行各语句,并且在结构层次中前面的语句执行结果会直接影响后面各语句的执行结果。顺序描述语句只能出现在进程或子程序中,用来定义进程或子程序的算法。顺序语句可以用来进行算术运算、逻辑运算、信号和变量的赋值、子程序调用等,还可以进行条件控制和迭代。 注意,这里的顺序是从仿真软件的运行和顺应VHDL语法的编程逻辑思路而言的,其相应的硬件逻辑工作方式未必如此。应该注意区分VHDL语言的软件行为与描述综合后的硬件行为的差异。 VHDL顺序语句主要包括: •变量赋值语句(Variable Evaluate) •信号赋值语句(Signal Evaluate) •WAIT语句 •IF 语句 •CASE 语句 •LOOP 语句 •NEXT 语句 •EXIT 语句 •RETURN 语句 •NULL 语句 •过程调用语句(Procedure Call) •断言语句(Assert) •REPORT 语句

网友评论