基于FPGA的USB2.0控制器设计

时间:2012-11-06 18:27:20
【文件属性】:
文件名称:基于FPGA的USB2.0控制器设计
文件大小:774KB
文件格式:PDF
更新时间:2012-11-06 18:27:20
FPGA 介绍了一种用VHDL设计USB2.0功能控制器的方法,详术了其原理和设计思想,并在FPGA上予以实现。

网友评论