基于FPGA的rs232串口通信verilog编码

时间:2012-11-25 02:07:17
【文件属性】:
文件名称:基于FPGA的rs232串口通信verilog编码
文件大小:3KB
文件格式:ZIP
更新时间:2012-11-25 02:07:17
verilog rs232 FPGA RS485 实现rs232通信,基于FPGA的verilog实现,经典范例编码,随时供大家调用
【文件预览】:
com.c
async_transmitter.v
async_receiver.v

网友评论

  • 挺好使,谢谢啦。
  • 谢谢分享,这个正是我要找的资源,已经保留,值得学习参考
  • 不错,可以参考下
  • 这个代码很不错啊,相当好用啊!!
  • 帮大忙了,挺不错的,非常感谢
  • 对FPGA 的串口调试依旧没过这一关~
  • 完美地实现了我需要的功能,对我帮助很大。谢谢
  • 资源很好,学习中
  • 应该还不错,学习
  • 看不懂啊!接受到得数据做什么处理了呢?