migen:用于构建复杂的数字硬件的Python工具箱

时间:2021-05-04 06:18:39
【文件属性】:
文件名称:migen:用于构建复杂的数字硬件的Python工具箱
文件大小:327KB
文件格式:ZIP
更新时间:2021-05-04 06:18:39
Python 米根(密尔吉斯主义发电机) 用于构建复杂的数字硬件的Python工具箱 尽管比原理图输入要快,但是由于多种原因,使用Verilog和VHDL进行硬件设计仍然很繁琐且效率低下。 事件驱动模型引入了同步电路不需要的问题和手动编码,这代表了当今逻辑设计的最大份额。 违反直觉的算术规则会导致更陡峭的学习曲线,并为设计中的细微错误提供了肥沃的土壤。 最后,通过“生成”语句对过程逻辑生成(元编程)的支持非常有限,并限制了使代码通用,重用和组织的方式。 为了解决这些问题,我们开发了Migen FHDL库,该库用组合和同步语句的概念替换了事件驱动的范式,具有使整数始终像数学整数一样起作用的算术规则,最重要的是允许构造设计的逻辑通过Python程序。 最后一点使硬件设计人员可以利用Python语言的丰富功能-面向对象的编程,函数参数,生成器,运算符重载,库等-来构建组织良好,可重用且优雅的设计。 其他M

网友评论