verilog-设计抢答器.docx

时间:2023-06-10 17:02:46
【文件属性】:
文件名称:verilog-设计抢答器.docx
文件大小:53KB
文件格式:DOCX
更新时间:2023-06-10 17:02:46
抢答器 verilog (1)抢答台数为6; (2)具有抢答开始后20s倒计时,20秒倒计时后6人抢答显示超时,并报警; (3)能显示超前抢答台号并显示犯规报警; (4)系统复位后进入抢答状态,当有一路抢答按键按下,该路抢答信号将其余各路抢答信号*,同时铃声响起,直至该路按键松开,显示牌显示该路抢答台号。

网友评论