UART波特率自适应Verilog程序

时间:2014-08-17 03:16:09
【文件属性】:
文件名称:UART波特率自适应Verilog程序
文件大小:9KB
文件格式:RAR
更新时间:2014-08-17 03:16:09
Verilog UART 用Verilog写的串口自适应的程序,可以适应在110,300,600,900,1200,2400,4800,9600,1440,19200,15600,115200等多种串口模式下实现通信,其中包括波特率发生模块,端口波特率侦测模块,老外写的,值得借鉴
【文件预览】:
UART
----RxUnit.vhd(6KB)
----miniuart.vhd(8KB)
----TxUnit.vhd(5KB)
----transcript(1KB)
----uart_lib.vhd(2KB)
----UARTTest.vhd(8KB)
----clkUnit.vhd(5KB)

网友评论

  • 差评,乱标标题,忘记看评论了,不是Verolog不说还不是自适应波特率的,一点参考价值都没有
  • 这个真心好用,可以做一些开发调试用。收糖;额
  • 说起来,参考意义不大,楼主自己没弄清是什么东西吧。
  • VHDL也不错,看起来比Verilog顺眼,就是不能自适应波特率
  • 没有自适应的功能,遗憾
  • 的确是VHDL......
  • 没帮上什么忙,应该不是verilog
  • 明明就不是verilog。
  • 首先不是verilog,齐次我也没见到自动适应波特率的实现代码。
  • 明明是用VHDL写的,为什么硬说成使用verilog写的呢