vector-clock:矢量时钟

时间:2021-05-12 04:50:54
【文件属性】:
文件名称:vector-clock:矢量时钟
文件大小:19KB
文件格式:ZIP
更新时间:2021-05-12 04:50:54
java vector-clock Java 生成状态: 矢量时钟 从Wikipedia( ) 向量时钟是一种算法,用于在分布式系统中生成事件的部分排序并检测因果关系违规。 就像在Lamport时间戳中一样,进程间消息包含发送进程的逻辑时钟的状态。 N个进程的系统的向量时钟是N个逻辑时钟的数组/向量,每个进程一个时钟; 全局时钟数组的本地“最小可能值”副本将在每个进程中保留,并具有以下时钟更新规则: 最初,所有时钟均为零。 进程每次遇到内部事件,都会将其在向量中的逻辑时钟加一。 每次进程发送消息时,它都会在向量中将其自己的逻辑时钟加一(如上面的项目符号所示),然后发送其自身向量的副本。 每次进程接收到一条消息时,它都会通过将向量中自己的逻辑时钟加一,并通过获取其自身向量时钟中的最大值和接收到的消息中向量中的值来更新其向量中的每个元素(对于每个元素)。 执行 该项目提供了Java中Vector Clock的基本实现。 它有两
【文件预览】:
vector-clock-master
----.gitignore(65B)
----src()
--------main()
--------test()
----LICENSE(11KB)
----pom.xml(5KB)
----README.md(2KB)
----.circleci()
--------config.yml(1KB)

网友评论