基于VHDL的七人表决器的设计

时间:2013-01-25 07:01:25
【文件属性】:
文件名称:基于VHDL的七人表决器的设计
文件大小:41KB
文件格式:RAR
更新时间:2013-01-25 07:01:25
VHDL 用七个开关作为表决器的7个输入变量,输入变量为逻辑“1”时表示表决者“赞同”;输入变量为逻辑“0”时,表示表决者“不赞同”。输出逻辑“1”时,表示表决“通过”;输出逻辑“0”时,表示表决“不通过”。当表决器的七个输入变量中有4个以上(含4个)为“1”时,则表决器输出为“1”;否则为“0”。
【文件预览】:
shiyan4
----vote7.mmf(240B)
----LIB.DLS(115B)
----vote7.scf(908B)
----vote7.snf(29KB)
----vote7.pof(2KB)
----vote7(2).cnf(9KB)
----vote7.vhd(685B)
----vote7.ndb(36KB)
----vote7.hif(6KB)
----U9133109.DLS(15KB)
----vote7.fit(5KB)
----vote7(6).cnf(2KB)
----vote7(3).cnf(6KB)
----vote7.pin(3KB)
----vote7.cnf(41KB)
----U3459074.DLS(2KB)
----vote7.acf(15KB)
----U9365741.DLS(1KB)
----vote7.rpt(30KB)
----VOTE7.sym(202B)
----vote7(4).cnf(22KB)
----vote7(5).cnf(2KB)

网友评论

  • 程序正确,好评
  • 挺好的,可以编译
  • 编译能通过,不错啊
  • 这个资源很好呀 ,下载下来完全跟题目一样。程序呀电路图呀。真准确。没有错误。推荐