4乘4原码阵列乘法器

时间:2020-12-16 19:54:35
【文件属性】:
文件名称:4乘4原码阵列乘法器
文件大小:2.23MB
文件格式:ZIP
更新时间:2020-12-16 19:54:35
multisim 原码阵列乘法器 Multisim乘法器 任务: 1、通过multisim仿真平台,设计一个能计算含符号位的5位阵列乘法器,即内部为一个4×4阵列乘法器,符号位单独处理,如图6所示。 2、输入为两个5位含符号位的原码,输出结果亦是含符号位的原码。 图6 5×5阵列乘法器 要求: 1、能够正确输入两个5位(含符号位)的原码,并进行计算,得到正确的结果。 2、验证结果,输入两个均为原码的数据,验证并得到正确的仿真结果。 3、通过指示灯或者数码管显示为输入和输出的数据。
【文件预览】:
4乘4原码阵列乘法器
----4乘4原码阵列乘法器.ms14(552KB)
----原码阵列乘法器电路的设计.docx(1.35MB)
----5乘5原码阵列乘法器.ms14(740KB)

网友评论