RS232串口通信程序(Verilog)

时间:2013-08-16 05:48:23
【文件属性】:
文件名称:RS232串口通信程序(Verilog)
文件大小:75KB
文件格式:PDF
更新时间:2013-08-16 05:48:23
QUII Verilog 发送端: module trans(clk, rst, TxD_start, TxD_data, TxD, TxD_busy ); input clk, rst, TxD_start; input[7:0] TxD_data; // 待发送的数据 output TxD, // 输出端口发送的串口数据 TxD_busy;

网友评论

  • 可以用!