基于VHDL的任意数值分频器的设计(含注释)

时间:2012-08-15 14:28:44
【文件属性】:
文件名称:基于VHDL的任意数值分频器的设计(含注释)
文件大小:1KB
文件格式:VHD
更新时间:2012-08-15 14:28:44
VHDL 基于VHDL的分频器,可根据外部输入数值进行分频。

网友评论

  • 这的确是分频器,可是却是我所想要的频率的2倍频了,如我要3分频,可是仿真出来却为6分频。
  • 还行,但不是自己需要的,感谢分享
  • 挺好懂的,实用
  • 还是可以,不过对我帮助不大
  • 这个VHDL代码很实用!
  • 是个源程序 简单易懂 谢谢楼主分享
  • 是个源程序 简单易懂 谢谢楼主分享
  • 在学校的实验平台上顺利实现了!