VHDL洗衣机控制器

时间:2013-10-29 16:58:02
【文件属性】:
文件名称:VHDL洗衣机控制器
文件大小:390KB
文件格式:RAR
更新时间:2013-10-29 16:58:02
VHDL 洗衣机 功能控制 用VHDL语言编写的洗衣机控制程序,基本山实现了洗衣机的计时、功能选择及提醒等功能
【文件预览】:
洗衣机
----total.pof(27KB)
----total.asm.rpt(7KB)
----total.pin(20KB)
----total.map.rpt(30KB)
----leddecoder2.vhd(881B)
----key.vhd(1KB)
----db()
--------total.map.cdb(11KB)
--------total.cmp0.ddb(58KB)
--------total.cmp.tdb(27KB)
--------total.signalprobe.cdb(419B)
--------total.rtlv_sg_swap.cdb(2KB)
--------total.sld_design_entry.sci(169B)
--------total.(10).cnf.cdb(2KB)
--------total.(0).cnf.hdb(1KB)
--------total.(9).cnf.cdb(1KB)
--------total.sgdiff.cdb(12KB)
--------total.(3).cnf.cdb(1KB)
--------total.map.logdb(4B)
--------prev_cmp_total.map.qmsg(25KB)
--------prev_cmp_total.asm.qmsg(2KB)
--------total.(4).cnf.hdb(434B)
--------total.(10).cnf.hdb(1KB)
--------total.cmp.hdb(13KB)
--------total.cmp.logdb(4B)
--------total.dbp(0B)
--------total.tis_db_list.ddb(189B)
--------total.asm.qmsg(2KB)
--------total.cmp.cdb(36KB)
--------total.(7).cnf.hdb(710B)
--------prev_cmp_total.qmsg(96KB)
--------total.hier_info(9KB)
--------total.eco.cdb(176B)
--------total.(2).cnf.hdb(862B)
--------total.(1).cnf.hdb(816B)
--------total.(9).cnf.hdb(537B)
--------total.fit.qmsg(20KB)
--------total.pss(0B)
--------total.(3).cnf.hdb(801B)
--------total.(8).cnf.cdb(1KB)
--------total.pre_map.cdb(18KB)
--------total.(7).cnf.cdb(3KB)
--------total.(0).cnf.cdb(3KB)
--------total.(6).cnf.hdb(1KB)
--------total.smp_dump.txt(195B)
--------total.(5).cnf.hdb(628B)
--------total.(6).cnf.cdb(6KB)
--------prev_cmp_total.tan.qmsg(49KB)
--------total.(8).cnf.hdb(532B)
--------total.cmp.rdb(19KB)
--------total.(1).cnf.cdb(1KB)
--------total.asm_labs.ddb(26KB)
--------total.sgdiff.hdb(15KB)
--------total.map.qmsg(26KB)
--------total.syn_hier_info(0B)
--------total.(4).cnf.cdb(999B)
--------total.pre_map.hdb(14KB)
--------total.rtlv_sg.cdb(15KB)
--------total.map.hdb(13KB)
--------prev_cmp_total.fit.qmsg(19KB)
--------total.(2).cnf.cdb(1KB)
--------total.hif(6KB)
--------total.psp(0B)
--------total.rtlv.hdb(14KB)
--------total.tan.qmsg(48KB)
--------total.db_info(152B)
--------total.cbx.xml(87B)
--------total.sld_design_entry_dsc.sci(169B)
--------total.(5).cnf.cdb(2KB)
----leddecoder.vhd(655B)
----total.dpf(239B)
----total.flow.rpt(5KB)
----total.tan.rpt(76KB)
----divclk1000.vhd(445B)
----divclk10.vhd.bak(435B)
----total.done(26B)
----total.fit.rpt(67KB)
----divclk10.vhd(435B)
----ym.vhd(879B)
----total.fit.summary(370B)
----timeshowset.vhd(1KB)
----xyj.vhd(3KB)
----total.vhd.bak(3KB)
----keyin.vhd(469B)
----total.fit.smsg(334B)
----total.tan.summary(1KB)
----total.qsf(3KB)
----total.map.summary(362B)
----total.vhd(3KB)
----total.qpf(909B)
----tell.vhd(368B)
----total.cdf(387B)
----divclk50.vhd(437B)

网友评论

  • 很详细的程序,很有用,谢谢分享
  • 很高兴找到它
  • 这个真心不错,用过的都懂
  • 还好,适合高水平VHDL编辑者使用
  • 思路很清晰。很高兴能找到