FPGA作业题verilog源文件 哈夫曼编码

时间:2021-09-28 13:09:05
【文件属性】:
文件名称:FPGA作业题verilog源文件 哈夫曼编码
文件大小:74KB
文件格式:RAR
更新时间:2021-09-28 13:09:05
FPGA verilog 哈夫曼编码 Xilinx哈夫曼编码 对一段数据序列进行哈夫曼编码,使得平均码长最短,输出各元素编码和编码后的数据序列。 1. 设计要求 (1)组成序列的元素是[0-9]这10个数字,每个数字其对应的4位二进制数表示。比如5对应0101,9对应1001。 (2)输入数据序列的长度为256。 (3)先输出每个元素的编码,然后输出数据序列对应的哈夫曼编码序列。 环境是ISE 14.7, ModelSim 10.4
【文件预览】:
哈夫曼
----v4_dcm.v(3KB)
----INPUT.v(2KB)
----tb_Top.v(2KB)
----ALLSORT.v(7KB)
----OUTPUT.v(2KB)
----工程图.png(36KB)
----模块功能.png(41KB)
----Top.v(4KB)
----HTREE.v(6KB)
----ENCODE.v(3KB)

网友评论

  • 下载过来学习一下
  • 学习学习学习
  • 下载过来学习一下