SPI接口VHDL的基本設計

时间:2014-04-08 12:44:15
【文件属性】:
文件名称:SPI接口VHDL的基本設計
文件大小:485KB
文件格式:RAR
更新时间:2014-04-08 12:44:15
SPI VHDL 介绍了如何用vhdl语言实现处理器的spi接口 附所有程序以及说明PDF

网友评论

  • 程序写得还可以,就是没怎么看懂
  • 给的程序包括3线的SPI的vhdl程序,8位串并/并串转换程序,数据发送接收程序,计数器程序。很好的代码。
  • 源程序看了一下 还可以
  • 源程序看了一下 还可以 能够有点参考
  • 给的程序不太精准,spi应该是串入串出啊
  • 给的程序包括3线的SPI的vhdl程序,8位串并/并串转换程序,数据发送接收程序,计数器程序。很好的代码。