按键控制LED的亮灭Verilog/VHDL程序

时间:2021-08-21 13:38:00
【文件属性】:

文件名称:按键控制LED的亮灭Verilog/VHDL程序

文件大小:309KB

文件格式:RAR

更新时间:2021-08-21 13:38:00

VHDL Verilog CPLD FPGA

用两个按键的不同组合来控制LED指示灯的状态: 1.没有手指触碰按键,LED灭; 2.手指按下左边按键,LED亮; 3.手指按下右边按键,LED亮; 4.手指同时按下按键,LED灭; 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。


【文件预览】:
2Key_Control_1led
----Tips.txt(327B)
----ex0vlg.zip(213KB)
----ex0vhd.zip(137KB)

网友评论