正弦波设计正弦波信号发生器

时间:2012-09-18 11:09:58
【文件属性】:
文件名称:正弦波设计正弦波信号发生器
文件大小:105KB
文件格式:DOC
更新时间:2012-09-18 11:09:58
正弦波信号发生器 本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和末级放大电路构成。仅用单片FPGA就实现了直接数字频率合成技术(DDS),产生稳幅正弦波,并在数字域实现了AM、FM、ASK、PSK等四类调制信号。调制信号既可由用户输入参数由FPGA内部生成,也可以从外部输入。整个系统结构紧凑,电路简单,功能强大,可扩展性强。

网友评论