verilog比较器

时间:2016-07-17 07:50:31
【文件属性】:

文件名称:verilog比较器

文件大小:82KB

文件格式:DOC

更新时间:2016-07-17 07:50:31

选择比较器

   设计一个带功能选择的字节(8位)的比较器(compare.v)。        说明:比较两个字节的大小,选择控制位为sel[1:0]要求在sel的控制下:    1)当 sel=00时如a[7:0]大于b[7:0],则输出高电平,否则输出低电平;    2)当sel=01时如a[7:0]小于b[7:0],则输出高电平,否则输出低电平;    3)当sel=01时如a[7:0]等于b[7:0],则输出高电平,否则输出低电平;


网友评论