Nexys4 DDR2读写例程

时间:2020-01-20 07:03:34
【文件属性】:
文件名称:Nexys4 DDR2读写例程
文件大小:121KB
文件格式:ZIP
更新时间:2020-01-20 07:03:34
MIG 嵌入式 Vivado Xilinx DDR 鄙人自行编写的DDR2的读写例程。此工程使用Vivado 2015.4在Nexys4 DDR上实现。
【文件预览】:
testDDR2_N4DDR
----example_top.bit(3.65MB)
----decode.v(1KB)
----example_top.v(7KB)
----example_top.xdc(7KB)
----digit.v(5KB)

网友评论

  • 希望楼主可以放github上造福大家
  • 不错的例程,谢谢分享
  • 谢谢分享!!!
  • 可以的!多谢分享
  • 谢谢,比较有用
  • 谢谢分享!!!
  • 挺详细的,感谢
  • 非常详细,感谢
  • 非常详细,感谢
  • 要是有说明文档就更好了,尤其是对我这样的新手。