verilog 写的m序列

时间:2014-10-01 02:40:28
【文件属性】:
文件名称:verilog 写的m序列
文件大小:3KB
文件格式:V
更新时间:2014-10-01 02:40:28
m序列 线性移位寄存器 基于verilog语言描写的m序列产生器,采用模二加的原理,当然也可用查找表

网友评论

  • 对我有帮助,有基本的m序列产生
  • 凑合吧,可以参考下
  • 代码略简单,不过还好,在此基础学习后,写好了自己想要的代码,还是感谢楼主。
  • 看懂了就觉得简单了
  • 该程序写的还可以,就是太简单了,不是我想要的。只是能很好的理解原理.
  • 非常有用,简洁明了
  • 太简单,没有多大实用价值。
  • 代码稍微简单了些,不过基本概念还是正确的
  • 能让我理解原理。有帮助。
  • 程序写的不错啊
  • 对我有帮助,谢谢呀。
  • 该程序写的还可以,就是太简单了,不是我想要的。只是能很好的理解原理。
  • 好东西,看程序理解了原理,在原有的基础上进行了相应的扩展!
  • 很好的例子,给了我许多启示,在此基础上编写自己的算法就容易多了。