M序列生成程序 采用verilog语言

时间:2014-09-22 00:03:14
【文件属性】:
文件名称:M序列生成程序 采用verilog语言
文件大小:232B
文件格式:TXT
更新时间:2014-09-22 00:03:14
M序列 verilog 采用verilog语言 生成m序列 用用一位寄存器原理

网友评论

  • 太差了 就相当于一个function 不值2分 1分都不值 毕竟百度随意都是
  • 昨天刚下,很简单的一个小程序,能用。
  • 很好,挺好用的
  • 可以用啊,雷达上要用的。
  • 很典型的算法,没错误,可以用,很方便进行拓展和延伸。