算术逻辑单元ALU的VHDL代码

时间:2018-02-23 13:50:26
【文件属性】:
文件名称:算术逻辑单元ALU的VHDL代码
文件大小:14KB
文件格式:ZIP
更新时间:2018-02-23 13:50:26
算术逻辑单元 ALU VHDL 完整的算术逻辑单元(ALU)的 VHDL 代码! 包含其testbench代码!
【文件预览】:
alu4
----xcrptest.jed(32KB)
----iseconfig()
--------alu4.projectmgr(8KB)
--------alu4.xreport(20KB)
----alu4.xise(22KB)
----alu4.vhd(268B)
----alu4.ucf(340B)
alu4_tb.zip

网友评论

  • 很好,可以用