基于fpga产生正弦波的Verilog程序

时间:2014-08-13 12:03:30
【文件属性】:
文件名称:基于fpga产生正弦波的Verilog程序
文件大小:5KB
文件格式:NONE
更新时间:2014-08-13 12:03:30
FPGA 正弦波 采用FPGA存储正弦波的256个点,分别输出,可以产生较好的正弦波,如果要产生其他的频率,只需改变分频比即可,即num的值。已经通过实物验证

网友评论

  • 挺好用的资源,有参考价值
  • 还是很不错的
  • 写的有条理,对自己有很大的参考作用
  • 我觉得一个正弦波不需要这么麻烦,直接就正弦波生成器生成一组数据,寄存在ram里,然后就可以一个一个读取出来,这样更简单。楼主的方法貌似对于新手还是很困难啊
  • 思路很好 , 就是不是很灵活
  • 很好的资源 有一定的参考价值
  • 凑合能用吧,但是也谢谢了
  • 代码不错,能用,可惜的是只有代码
  • 很好的资源,就是我学的太少了,读起来费劲
  • 八个管脚输出,不知道怎么测这个正弦信号了
  • 很好的资源,就是我学的太少了,读起来费劲
  • 初次学习有点困难
  • 不错的资源 有一定的价值
  • 不错的资源,能够运行
  • 挺不错的,不过这个好像没有TB文件
  • 不错 能正常使用
  • 能够编译,不过想问哈,如何生成的数据表?MATLAB行吗?
  • 很好,使用之后,能正确运行
  • 很好,测试中可用
  • 这个 可以用 可以调节 频率 和幅度值
  • 有没有正弦波数据表?
  • 程序很实用,谢谢
  • 可行,可否有产生正弦波数据表的代码
  • 很好,测试能用