eda信号发生器的设计(VHDL)

时间:2022-01-12 15:52:20
【文件属性】:
文件名称:eda信号发生器的设计(VHDL)
文件大小:6.38MB
文件格式:RAR
更新时间:2022-01-12 15:52:20
VHDL 课程设计 eda 信号发生器 简易信号发生器的设计 本课程设计主要是利用 VHDL 语言设计一个信号发生器,要求实现以下功能: (1)根据输入信号的选择可以产生周期性输出方波、三角波、正弦波、阶梯波四种波形信号。 (2)可以在一定范围内调整频率。 (3)如果有条件,最好将波形数据送入 D/A 转换器,将数字信号转换为模拟信号输出,用示波器测试D/A 转换器的输出,可以观测到 4 种信号的输出。

网友评论