基于VHDL的 乒乓球游戏机设计

时间:2013-03-11 03:42:53
【文件属性】:
文件名称:基于VHDL的 乒乓球游戏机设计
文件大小:252KB
文件格式:DOC
更新时间:2013-03-11 03:42:53
VHDL 乒乓球 两人乒乓球游戏机是用9个发光二极管代表乒乓球台,用点亮的发光二极管按一 定的方向移动来表示球的运动。在游戏机的两侧各设置一个开关,即击球开关Hit A,HitB。甲乙二人按乒乓球比赛规则来操作开关。当甲按动击球开关时,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分。然后重新发球,比赛继续。比赛直到一方分数达到11分时,比赛结束。

网友评论

  • 只能看个波形,不能用,没有做分频全写在一起了
  • 有点复杂 不过很有用 谢谢
  • 有点难懂,对我不太实用
  • 只能看个波形,不能用,没有做分频全写在一起了
  • 写的太复杂了,用状态机或许更容易把编程思想表达清晰