7段数码管动态显示proteus仿真电路及C语言源程序

时间:2014-10-22 10:06:18
【文件属性】:
文件名称:7段数码管动态显示proteus仿真电路及C语言源程序
文件大小:39KB
文件格式:ZIP
更新时间:2014-10-22 10:06:18
proteus,数码管仿真,动态显示电路 利用proteus软件对数码管动态显示进行了仿真,由于是软件仿真,对于动态数码管的视觉残留有一些影响,所以把延时时间调的较长,以便能够看清其扫描显示的过程,可以作为课堂给学生演示的课件,亦可以给爱好者作为参考!
【文件预览】:
51_C_Design
----7_Seg_led.M51(6KB)
----7_Seg_led.Opt(1KB)
----7_Seg_led.plg(4KB)
----7_Seg_led.plg.LST(3KB)
----7_Seg_led(3KB)
----STARTUP.LST(14KB)
----7_Seg_led_Opt.Bak(1KB)
----7seg_com_cat.DSN(127KB)
----7_Seg_led_Uv2.Bak(2KB)
----STARTUP.A51(6KB)
----7_Seg_led.lnp(70B)
----7_Seg_led.hex(418B)
----7_Seg_led.Uv2(2KB)
----STARTUP.OBJ(749B)
----7_Seg_led.plg.OBJ(3KB)
----7_Seg_led.plg.c(738B)

网友评论

  • 挺不错的可以用
  • 不错 很好用
  • 不错,很好用
  • 能够运行,但电路可以精简。对使用数码管显示,用protues来仿真有参考价值。
  • 很实用的Proteus仿真,不过的确电路可以再简化一些~!
  • 还不错,不过电路可以再简化!
  • 很好用,早上自己做的一个闪烁较厉害,这个不闪烁。
  • 不错 很好用
  • 能用的,不错
  • 可以用的谢谢
  • 用过了,很好的仿真电路
  • 试过啦,很好用,不闪烁