多路的智力竞赛抢答器

时间:2012-01-13 11:33:52
【文件属性】:
文件名称:多路的智力竞赛抢答器
文件大小:315KB
文件格式:DOC
更新时间:2012-01-13 11:33:52
八路抢答器,锁存器74LS373,编码器74LS148 完整的八路抢答器课程设计(有目录,每个部分电路结构,安装调试,PCB图)

网友评论

  • 对我这个初学者来说你的图有点复杂,我想我还需要一段时间来学习,不过还是谢谢你!