CRC32 校验的verilog实现

时间:2022-05-27 07:42:04
【文件属性】:
文件名称:CRC32 校验的verilog实现
文件大小:9KB
文件格式:V
更新时间:2022-05-27 07:42:04
CRC32 循环冗余检查(CRC)是一种数据传输检错功能,对数据进行多项式计算,并将得到的结果附在帧的后面,接收设备也执行类似的算法,以保证数据传输的正确性和完整性。若CRC校验不通过,系统重复向硬盘复制数据,陷入死循环,导致复制过程无法完成。出现循环冗余检查错误的可能原因非常多,硬件软件的故障都有可能。

网友评论

  • 可以,很有用