bmp图片输入输出verilog仿真

时间:2018-12-14 16:44:33
【文件属性】:
文件名称:bmp图片输入输出verilog仿真
文件大小:5.08MB
文件格式:ZIP
更新时间:2018-12-14 16:44:33
bmp图片 verilog modelsim 仿真 将bmp图片模拟视频输入输出verilog仿真,在安装好modelsim的情况下,可直接运行sim文件夹下的.bat文件进行仿真。
【文件预览】:
vin_vout_sl_xHz_sim20151117
----sim()
--------tb_top.do(2KB)
--------tb_top_wave.do(3KB)
--------tb_top.bat(18B)
----pic()
--------img160.bmp(56KB)
--------img450x380.bmp(502KB)
--------img1366.bmp(3MB)
--------img80.bmp(14KB)
--------img1024.bmp(2.25MB)
--------img320.bmp(225KB)
--------img1920.bmp(5.93MB)
--------img800x530.bmp(1.21MB)
--------img640.bmp(900KB)
----doc()
--------testbench框图.vsd(46KB)
----testbench()
--------tb_top.v(4KB)
--------monitor()
--------driver()
----rtl()

网友评论