Verilog基于FPGA的PWM控制8LED亮度

时间:2016-01-17 11:41:36
【文件属性】:
文件名称:Verilog基于FPGA的PWM控制8LED亮度
文件大小:3KB
文件格式:TXT
更新时间:2016-01-17 11:41:36
fpga verilog pwm led Verilog编写,用PWM控制8个LED的亮度,代码清晰易懂,在开发板上测试成功。

网友评论

  • 垃圾,这代码这么多错误,害我白白浪费两积分,垃圾 。。。。。。。。
  • 很不错的资源,很实用
  • 还可以吧,我觉得这样就够了
  • 有点繁琐,希望改进
  • 好像不太实用
  • 不实用,有问题