FPGA实现LVDS信号输出 LCD 控制器 verilog

时间:2022-03-26 09:15:35
【文件属性】:
文件名称:FPGA实现LVDS信号输出 LCD 控制器 verilog
文件大小:2.81MB
文件格式:ZIP
更新时间:2022-03-26 09:15:35
FPGA LVDS LCD verilo TFT FPGA实现LVDS信号输出 LCD 控制器 verilog。 FPGA实现LVDS信号输出,可输出所需要的RGB等画面,LVDS是单通道输出 verilog 控制24寸TFT FPGA LVDS LCD verilog TFT
【文件预览】:
FPGA实现LVDS信号输出 LCD 控制器 verilog
----.DS_Store(6KB)
----WechatIMG2.jpeg(108KB)
----LVDS.rar(2.73MB)

网友评论

  • 代码没有注释,端口、寄存器定义都是abcd之类的,很难理解