第8章行为建模-三种建模方式

时间:2014-04-21 12:59:46
【文件属性】:
文件名称:第8章行为建模-三种建模方式
文件大小:625KB
文件格式:PDF
更新时间:2014-04-21 12:59:46
第8章行为建模 三种建模方式 在前几章中,我们已经介绍了使用门和U D P实例语句的门级建模方式,以及用连续赋值语句的数据流建模方式。本章描述Verilog HDL中的第三种建模方式,即行为建模方式。为充分使用Verilog HDL,一个模型可以包含所有上述三种建模方式

网友评论