用ISE与Modelsim进行FPGA后仿真(时序仿真)的两种方法

时间:2016-05-09 10:25:21
【文件属性】:
文件名称:用ISE与Modelsim进行FPGA后仿真(时序仿真)的两种方法
文件大小:1009KB
文件格式:PDF
更新时间:2016-05-09 10:25:21
XILINX ISE MODELSIM 编译 用ISE与Modelsim进行FPGA后仿真(时序仿真)的两种方法

网友评论

  • 内容还不错,有点用。
  • 是我想要的东西,不错不错,赞一个,谢谢楼主分享
  • 讲的很详细,网上Quartus II的比较多,难得一见讲Xilinx的ISE怎么操作的,而且是比较新版本的,谢谢分享~
  • 是我要的,讲得还可以
  • 是我想要的东西,不错不错,赞一个
  • 还可以,真好有用
  • 不是我想要的资料,不过内容还好