阵列乘法器的设计与实现.rar

时间:2023-08-01 10:56:23
【文件属性】:
文件名称:阵列乘法器的设计与实现.rar
文件大小:370KB
文件格式:RAR
更新时间:2023-08-01 10:56:23
阵列乘法器的设计 四位阵列乘法器的原理框图如图1.1所示,X=X1X2X3X4 Y=Y1Y2Y3Y4且X为被乘数的输入端,Y为乘数的输入端,M=M0M1M2M3M4M5M6M7为乘积的输出端。其基本原理是阵列的每一行送入乘数Y的每一位数位,而各行错开,形成的每一斜列则有被乘数X的每一位控制。 四位阵列乘法器的整体设计包含十六个加法器模块,虽然加法器数量多,但内部规则化,标准化程度高。加法器模块中由一个与门和一个全加器构成,由四个与门、两个异或门、一个三端接口的或门构成的全加器为底层设计。 顶层的四位阵列乘法器也采用原理图设计输入方式,在以十六个加法器为基础而构成的四位阵列乘法器,其主要以四个被乘数输入端、四个乘数输入端以及八个乘积输出端为主,外加还包括中间过程参与计算的进位输入端、部分积输入端和进位输出端、部分积输出端,这样一来就构成了一个完整的四位阵列乘法器。
【文件预览】:
工程
----LING.PDF(1KB)
----LING()
--------ling5.BSC(9KB)
--------ling5.SCH(9KB)
--------ling.ucf(11KB)
--------netlist.log(546B)
--------ling1.BSC(3KB)
--------ling.prj(3KB)
--------FA.sch(3KB)
--------ErrLog.log(588B)
--------types.dir(74B)
--------FA.BSC(490B)
--------ling4.SCH(11KB)
--------ling1.SCH(3KB)
--------FA.alb(75B)
--------ling3.BSC(4KB)
--------BIN.BSC(1KB)
--------BIN.opt(368B)
--------ling3.SCH(4KB)
--------ling.tve(834B)
--------FA.asx(145B)
--------BIN.alb(137B)
--------lib()
--------ling4.BSC(11KB)
--------FA.opt(201B)
--------BIN.sch(9KB)
--------ling.alb(9KB)
--------BIN.asx(354B)
阵列乘法器的设计与实现.doc
ling.BIT

网友评论