PWM信号发生器的设计(含仿真和程序)

时间:2013-07-20 07:42:04
【文件属性】:
文件名称:PWM信号发生器的设计(含仿真和程序)
文件大小:31KB
文件格式:RAR
更新时间:2013-07-20 07:42:04
信号发生器 PWM PWM波输出的信号发生器 ,可调节占空比。含仿真和程序。
【文件预览】:
PWM波输出(可调)
----新*单片机嵌入式.url(198B)
----重要说明.txt(186B)
----新*论坛.txt(186B)
----PWM()
--------Last Loaded PWM.DBK(79KB)
--------PWM.hex(206B)
--------PWM.asm(658B)
--------新*单片机嵌入式.url(198B)
--------PWM.PWI(1KB)
--------PWM.DSN(79KB)
--------重要说明.txt(186B)
--------新*论坛.txt(186B)

网友评论

  • 仿真能用,但是没工程代码,没啥参考意义。
  • 多谢楼主分享~经过调试还蛮不错的!
  • 很好用啊,哈哈 我做出来了
  • 自己想要的,先看看。
  • 经过调试还蛮不错的!
  • 谢谢分享!很好用的!
  • 很好用啊,哈哈 我做出来了,哎 我们的小论文就搞定咯
  • 经过调试还蛮不错的!
  • 很好,就是不知道为啥示波器经常不会自动打开,应该是我自己的软件问题v·