VHDL数码管扫描显示控制器

时间:2017-06-16 15:10:43
【文件属性】:
文件名称:VHDL数码管扫描显示控制器
文件大小:547KB
文件格式:ZIP
更新时间:2017-06-16 15:10:43
VHDL数码管 用VHDL使数码管0-5动态扫描显示及滚动显示,含分频器

网友评论

  • 代码有点看不懂。。。不过可以参考。。
  • 代码有点乱
  • 很简略,但可以用用