NCO程序Verilog

时间:2013-09-10 19:19:26
【文件属性】:
文件名称:NCO程序Verilog
文件大小:1.68MB
文件格式:RAR
更新时间:2013-09-10 19:19:26
Verilog NCO 数控振荡器英文简写NCO是PLL中的重要组成部分,是直接频率合成技术的基础,它的设计在无线通讯中应用广泛,肯定对大家会有帮助的。

网友评论

  • 虽然用处不是很大,不过可以做个参考
  • 感觉还是有参考价值的
  • 可以使用啊,不错!!!
  • 在实实在在的程序,可以参考。
  • 学习了 虽然不能实现 但可作为参考基础上修改
  • 在理解的基础上做些修改,还行。
  • NCO数控振荡器,还可以。
  • 代码正确,可是用不到工程上啊
  • 代码是比较原始的原理,不适合工程实际,但是可以帮助理解,很好。
  • 还是有点用处的,虽然用处不是很大,不过可以做个参考
  • 还可以额,学习楼主了,十分感谢
  • 感觉一般吧,用处不大
  • 不知道楼主是用什么变成环境写的,我用ISE打不开,不过还是谢谢楼主分享了。