补码阵列乘法器

时间:2020-07-05 20:22:25
【文件属性】:
文件名称:补码阵列乘法器
文件大小:1.49MB
文件格式:MS14
更新时间:2020-07-05 20:22:25
multisim 补码阵列乘法器 1、通过multisim仿真平台设计一个能计算含符号位的4位乘法器,即内部为一个3×3阵列乘法器,符号位单独处理,如图7所示。 2、输入为两个4位含符号位的补码数,输出结果亦是含符号位的数补码。 图7带求补级的阵列乘法器方框图 要求: 1、能够正确输入两个4位(含符号位)的补码,并通过计算得到正确的结果。 2、验证结果,输入两个均为补码的数据,验证并得到正确的仿真结果。 3、通过指示灯或者数码管显示为输入和输出的数据。

网友评论