CPLD/FPGA开发VHDL经典实例源程序

时间:2014-05-05 01:22:10
【文件属性】:
文件名称:CPLD/FPGA开发VHDL经典实例源程序
文件大小:43KB
文件格式:RAR
更新时间:2014-05-05 01:22:10
VHDL CPLD/FPGA开发VHDL经典实例源程序,很有参考价值!!!
【文件预览】:
vhdlcoder
----MUX2TO1.VHD(517B)
----KEYBOARD.VHD(970B)
----CODE_TRAN.VHD(1KB)
----COM9S.VHD(563B)
----I24BCD.VHD(2KB)
----COM_ENCODE.VHD(503B)
----ALARM_SET.VHD(2KB)
----SCAN8_DIG.VHD(5KB)
----BCD3.VHD(834B)
----TRAFFIC_FSM.VHD(6KB)
----SCAN8.VHD(2KB)
----SCAN8_LINE.VHD(4KB)
----SCAN2.VHD(965B)
----BCD_7SEG.VHD(812B)
----SETP_MOTOR.VHD(4KB)
----VHDL.TXT(28KB)
----STOP_WATCH.VHD(2KB)
----SCAN_GEN.VHD(926B)
----MULTIPLIER.VHD(3KB)
----REGNE.VHD(646B)
----LEDSCAN.VHD(906B)
----BCDADD.VHD(965B)
----CAL.VHD(6KB)
----SHIFTLNE.VHD(848B)
----MOTORCTRL.VHD(5KB)
----FADD.VHD(395B)
----MY_PKG.VHD(3KB)
----BCD_MUX.VHD(596B)
----SHIFTRNE.VHD(865B)
----FADD4.VHD(706B)
----TRAFFIC_MUX.VHD(1KB)
----BCD.VHD(651B)
----COUNT60.VHD(914B)
----HEX_FONT.VHD(2KB)
----I60BCD.VHD(1KB)
----COLA.VHD(10KB)
----DOWNCNT.VHD(720B)
----MY_PACKAGE.VHD(475B)
----MY_PKG.ER(0B)
----DEBOUNCE.VHD(974B)
----KEY_SCAN.VHD(897B)
----ASCII_ROM.VHD(9KB)
----TRAFFIC.VHD(1KB)
----BCD_ADD_SUB.VHD(2KB)
----TRAFFIC_LIB.VHD(1KB)
----SCAN_COUNT.VHD(725B)
----COUNT_DOWN.VHD(3KB)
----COMCOUN.VHD(740B)
----S95.LOG(36B)
----NEGATIVE.VHD(834B)
----DIVIDER.VHD(3KB)
----DIV1024.VHD(625B)
----COUNT24.VHD(916B)
----SCAN4.VHD(1KB)
----COLA.ER(0B)
----SCAN_1DIG.VHD(3KB)
----BIN2LED.VHD(857B)
----CLK_GEN.VHD(2KB)

网友评论

  • 正在学习Verilog 很不错的学习资料。