数字秒表数字秒表的VHDL语言的程序设计

时间:2013-01-03 14:57:32
【文件属性】:
文件名称:数字秒表数字秒表的VHDL语言的程序设计
文件大小:54KB
文件格式:DOC
更新时间:2013-01-03 14:57:32
秒表 数字秒表的VHDL语言的程序设计 EDA 2008-06-15 22:14 阅读675 评论2 字号: 大 中 小 数字秒表的VHDL语言的程序设计 本秒表计时器用于体育竞赛及各种要求有较精确时的各领域。此计时器是用一块专用的芯片,用VHDL语言描述的。它除开关、时钟和显示功能以外,它还包括1/100s计时器所有的控制和定时功能,其体积小,携带方便。

网友评论

  • 没有我要的功能,如果有像体育用地秒表一样有多个记录的功能就好了