• 《FPGA全程进阶---实战演练》第一章之FPGA介绍

    时间:2022-06-30 18:39:07

    1什么是FPGAFPGA也即是FieldProgrammableGateArray的缩写,翻译成中文就是现场可编程门阵列。FPGA是在PAL、GAL、CPLD等可编程器件的基础上发展起来的新型高性能产物,是作为专用集成电路(ASIC)领域中的一种半定制电路出现的,既解决了定制电路的不足,又克服了原有...

  • GPU服务器与FPGA云服务器有什么区别?

    时间:2022-06-01 19:59:30

    GPU服务器与FPGA云服务器的区别:FPGA与已经深入人心的高性能计算的代表 GPU 相比,FPGA 具有硬件可编程、低功耗、低延时的特性,代表了高性能计算的未来发展趋势。

  • FPGA学习心得汇总(手中写代码,心中有电路)

    时间:2022-06-01 16:44:07

    http://bbs.ednchina.com/BLOG_ARTICLE_2111172.HTM任何的时序逻辑都可以转换为组合逻辑+D触发器来完成。FPGA内部主要三块:可编程的逻辑单元、可编程的连线和可编程的IO模块。(1)可编程的逻辑单元是什么?其基本结构某种存储器(SRAM、FLASH等)制成...

  • 【代码升级】【iCore3 双核心板】例程二十八:FSMC实验——读写FPGA

    时间:2022-05-31 02:31:55

    实验指导书及代码包下载:http://pan.baidu.com/s/1qXAxwgkiCore3购买链接:https://item.taobao.com/item.htm?id=524229438677【代码升级】【iCore3双核心板】例程二十八:FSMC实验——读写FPGA的更多相关文章【iC...

  • 《FPGA全程进阶---实战演练》第三十二章 Signal Tap II 应用实例

    时间:2022-05-28 18:57:25

    还有几天就要交文章终稿了,三年的研究生生活也快要结束了,时间飞快,岁月如梭,但学习技术的热情仍然不能松懈,不懂的东西太多,需要实时保持奋斗!!过些天会继续更新《FPGA全程进阶---实战演练》内容,此电子书是笔者三年所做过的一些项目总结,又有擅长记录的习惯,于是整理成博客。过些天会更新的内容有C++...

  • 116-基于5VLX110T FPGA FMC接口功能验证6U CPCI平台 光纤PCIe卡

    时间:2022-05-25 13:41:35

    基于5VLX110TFPGAFMC接口功能验证6UCPCI平台一、板卡概述本板卡是Xilinx公司芯片V5系列芯片设计信号处理板卡。由一片Xilinx公司的XC5VLX110T-1FF1136 / XC5VSX95T-1FF1136 / XC5VFX70T-1FF1136芯片组成。FPGA接1片DD...

  • 《FPGA全程进阶---实战演练》第一章之如何学习FPGA

    时间:2022-05-23 19:51:19

    对于很多初学者,大部分都是急于求成,熟不知越是急于求成,最终越是学无所成,到头来两手空空,要学好FPGA,必须弄懂FPGA本质的一些内容。1.FPGA内部结构及基本原理FPGA是可以编程的,必须通过了解FPGA内部结构才能很好地理解为什么FPGA是可以编程的。学习FPGA不能像学习其他CPU芯片一样...

  • 《FPGA全程进阶---实战演练》第九章 计数器要注意

    时间:2022-05-23 19:51:13

    本小节我们来做一个好玩的事情,就是计数器,还记得在做LED自加实验时我们就曾经提到过关于计数器的相关议题,那么这节我们就来讨论讨论。探讨一下如下的问题:请用verilog记八个数的写法,分析这个可以更好的理解触发器的工作原理。.reg[:]cnt;always@(posedgeclkornegedg...

  • 《FPGA全程进阶----实战演练》第二章之系统搭建

    时间:2022-05-20 19:23:56

    1系统方案对于设计一款硬件平台,首先要确定整体框架,确定各个模块所需要的芯片以及电压分配情况。图2.6是笔者曾经设计的硬件平台系统。图2.6系统框图对于选定一个系统方案之后,接下来做的要先去查看所选用的芯片的数据手册。那么查看手册一般有几点必须要注意,(1)FPGA的工作电压,确定若FPGA正常工作...

  • 基于FPGA的按键扫描程序

    时间:2022-05-13 03:05:51

    最近在学习FPGA,就试着写了个按键扫描的程序。虽说有过基于单片机的按键扫描处理经验,对于按键的处理还是有一些概念。但是单片机程序的编写通常都采用C写,也有用汇编,而FPGA却是采用VHDL或者Verilog这种硬件描述语言来编写。初次利用VHDL编写控制程序,最开始就有点反应不过来了。采用VHDL...

  • FPGA工具篇——编辑器Notepad++

    时间:2022-04-30 13:53:12

    Notepad++是一款非常有特色的编辑器,是开源软件,可以免费使用。打开速度快,支持多达27种语法(支持Verilog和VHDL)高亮度显示,自动补全功能,而且支持列操作。安装完软件后,字体样式设为Consolas,大小14,色彩样式主题为Obsidian。设置后的效果如下:QuartusII软件...

  • FPGA之阻塞赋值与非阻塞赋值

    时间:2022-04-18 23:46:39

    Verilog语言中讲的阻塞赋值与非阻塞赋值,但从字面意思来看,阻塞就是执行的时候在某个地方卡住了,等这个操作执行完在继续执行下面的语句,而非阻塞就是不管执行完没有,我不管执行的结果是什么,反正我继续下面的事情。而Verilog中的阻塞赋值与非阻塞赋值正好也是这个意思,通过执行一个例子,就可以简单地...

  • FPGA时钟使能信号频率的相关注意事项

    时间:2022-04-18 19:54:51

       前言:最近在使用FPGA做图像数据的中值滤波算法。需要对数据缓存,滤波模块需要数据使能使能功能,故,研究一下二者关系。滤波模块shiftRAM:shift_ipcoreu1(.clken(   din_vld  ),//时钟使能信号.clock(   clk       ),//时钟信号.sh...

  • 【iCore4 双核心板_FPGA】例程一:GPIO输出实验——点亮LED

    时间:2022-04-16 10:45:06

    实验现象:三色LED循环点亮。核心源代码:moduleled_ctrl(inputclk_25m,inputrst_n,outputfpga_ledr,outputfpga_ledg,outputfpga_ledb);//--------------------cnt----------------...

  • FPGA该如何应对ASIC的大爆发?

    时间:2022-04-15 00:24:19

    有人认为,除了人才短缺、开发难度较大,相比未来的批量化量产的ASIC芯片,FPGA在成本、性能、功耗方面仍有很多不足。这是否意味着,在ASIC大爆发之际,FPGA将沦为其“过渡”品的命运?安路科技市场与应用部副总经理陈利光表示,上面这几大难题肯定有突破的空间,从成本来看,其主要受到技术和市场两大因素...

  • FPGA中ROM与RAM相关知识总结(五)

    时间:2022-04-11 21:29:06

    把看到的关于存储的一些东西整理一下,有些话来自于网友,所以还是那句话,看到的人要带着自己的思考去看,记住尽信书不如无书,fighting!!!一、基本概念最熟悉的两个词语应该是RAM与ROM,RAM(RandomAccessMemory)的全名为随机存取记忆体,它相当于PC机上的移动存储,用来存储和...

  • FPGA电源设计心得

    时间:2022-04-05 13:38:16

    一、FPGA使用的电源类型    FPGA电源要求输出电压范围从1.2V到5V,输出电流范围从数十毫安到数安培。可用三种电源:低压差(LDO)线性稳压器、开关式DC-DC稳压器和开关式电源模块。最终选择何种电源取决于系统、系统预算和上市时间要求。   如果电路板空间是首要考虑因素,低输出噪声十分重要...

  • 基于FPGA的异步FIFO设计

    时间:2022-04-04 05:23:58

    今天要介绍的异步FIFO,可以有不同的读写时钟,即不同的时钟域。由于异步FIFO没有外部地址端口,因此内部采用读写指针并顺序读写,即先写进FIFO的数据先读取(简称先进先出)。这里的读写指针是异步的,处理不同的时钟域,而异步FIFO的空满标志位是根据读写指针的情况得到的。为了得到正确的空满标志位,需...

  • 07-阻塞赋值与非阻塞赋值原理分析——小梅哥FPGA设计思想与验证方法视频教程配套文档

    时间:2022-03-31 00:57:35

    芯航线——普利斯队长精心奉献 实验目的:掌握阻塞赋值与非阻塞赋值的区别实验平台:无实验原理:    阻塞赋值,操作符为"=","阻塞"是指在进程语句(initial和always)中,当前的赋值语句阻断了其后的语句,也就是说后面的语句必须等到当前的赋值语句执行完毕才能执行。而且阻塞赋值可以看成是一步...

  • FPGA学习笔记(五)—— 组合逻辑电路功能的描述

    时间:2022-03-31 00:57:05

    设计方法:   分析真值表规律    两种描述方式:方式1:用assign描述,用阻塞赋值=方式2:用always@(*)描述,用非阻塞赋值<=   选择功能的三种描述方式:方式1:三目运算符?: ;方式2:if...elseif.....else(有优先级)方式3:case....defau...