VHDL实现块交织编码

时间:2014-07-19 02:30:16
【文件属性】:
文件名称:VHDL实现块交织编码
文件大小:591KB
文件格式:RAR
更新时间:2014-07-19 02:30:16
VHDL 块交织 使用xilinx13.1编译通过的块交织编码,能够生成RTL图和technology schemtic图
【文件预览】:
interleave
----interleave.v(2KB)
----interleave()
--------isim.log(660B)
--------interleave_test.v(1KB)
--------webtalk_pn.xml(3KB)
--------interleave.ngr(214KB)
--------interleave.par(8KB)
--------interleave.pcf(216B)
--------interleave_guide.ncd(161KB)
--------fuse.xmsgs(367B)
--------interleave.ngc(64KB)
--------netgen()
--------interleave_map.map(7KB)
--------interleave.syr(19KB)
--------interleave.twr(4KB)
--------xst()
--------interleave_pad.csv(19KB)
--------_xmsgs()
--------fuseRelaunch.cmd(295B)
--------interleave.lso(6B)
--------interleave_par.xrpt(194KB)
--------interleave_test_isim_beh.exe(81KB)
--------_ngo()
--------interleave.ncd(161KB)
--------interleave_map.mrp(9KB)
--------isim()
--------iseconfig()
--------xilinxsim.ini(16B)
--------interleave_ngdbuild.xrpt(5KB)
--------interleave.cmd_log(1KB)
--------interleave.ptwx(17KB)
--------interleave_test_beh.prj(128B)
--------interleave.xpi(46B)
--------interleave.bld(961B)
--------interleave_summary.html(15KB)
--------interleave_map.ncd(70KB)
--------interleave_map.xrpt(22KB)
--------interleave_summary.xml(408B)
--------fuse.log(1KB)
--------interleave_usage.xml(2KB)
--------interleave_test_isim_beh.wdb(6KB)
--------isim.cmd(44B)
--------interleave.prj(32B)
--------interleave_test_stx_beh.prj(143B)
--------interleave.unroutes(154B)
--------interleave.gise(15KB)
--------interleave.xst(1KB)
--------interleave_pad.txt(94KB)
--------interleave.xise(36KB)
--------interleave.stx(0B)
--------interleave.twx(20KB)
--------interleave.pad(19KB)
--------xlnx_auto_0_xdb()
--------interleave_xst.xrpt(12KB)
--------interleave.ngd(95KB)
--------interleave_envsettings.html(11KB)
--------interleave_map.ngm(530KB)

网友评论

  • 根本不能用 啊!中间少了一部分的引用的内容 根本不知道那些头文件里面是什么
  • 最近正好在学习交织的内容 谢谢分享