三分频程序

时间:2016-11-12 09:36:44
【文件属性】:
文件名称:三分频程序
文件大小:12KB
文件格式:ZIP
更新时间:2016-11-12 09:36:44
verilog 三分频 fpga verilog 三分频程序,其中包含差分输入整合,对于初学者来说有很大帮助。
【文件预览】:
div_3
----transcript(1KB)
----work()
--------div3()
--------div3_t()
--------_info(443B)
----1152108916_fre_div3.v(1KB)
----vsim.wlf(40KB)
----1152108927_t_fre_div3.v(364B)

网友评论