计算几何求凸包

时间:2017-04-02 10:17:14
【文件属性】:
文件名称:计算几何求凸包
文件大小:9.25MB
文件格式:RAR
更新时间:2017-04-02 10:17:14
凸包 可知凸多边形的任意两个顶点的连线一定会在该多边形中,因此可以利用通过任意两个定点的连线是否在多边形中,来判断多边形的凸与凹。
【文件预览】:
demo1-triangle
----triangle.ncb(49KB)
----triangle.sdf(28.71MB)
----triangle.vcxproj.user(143B)
----Debug()
--------cl.command.1.tlog(2KB)
--------triangle_manifest.rc(212B)
--------link.4600.read.1.tlog(2B)
--------triangle.exe.embed.manifest(406B)
--------link.2376.read.1.tlog(2B)
--------rc.command.1.tlog(1KB)
--------CL.read.1.tlog(28KB)
--------link.4880.read.1.tlog(2B)
--------vc100.idb(395KB)
--------mt.read.1.tlog(698B)
--------triangle.ilk(1.54MB)
--------link.8548-cvtres.write.1.tlog(2B)
--------link.2376-cvtres.write.1.tlog(2B)
--------link-cvtres.read.1.tlog(2B)
--------link.read.1.tlog(10KB)
--------rc.read.1.tlog(614B)
--------link.write.1.tlog(2KB)
--------CL.write.1.tlog(656B)
--------link.4600-cvtres.read.1.tlog(2B)
--------link.4880-cvtres.write.1.tlog(2B)
--------link.7800-cvtres.write.1.tlog(2B)
--------link.8548.write.1.tlog(2B)
--------link.4600-cvtres.write.1.tlog(2B)
--------vc100.pdb(204KB)
--------triangle.exe.embed.manifest.res(472B)
--------link.8548.read.1.tlog(2B)
--------triangle.obj(98KB)
--------triangle.log(833B)
--------link.command.1.tlog(4KB)
--------link.7800-cvtres.read.1.tlog(2B)
--------mt.write.1.tlog(230B)
--------link.4880-cvtres.read.1.tlog(2B)
--------link.4600.write.1.tlog(2B)
--------triangle.lastbuildstate(45B)
--------triangle.exe(516KB)
--------link.2376-cvtres.read.1.tlog(2B)
--------link.7800.read.1.tlog(2B)
--------link.4880.write.1.tlog(2B)
--------link.7800.write.1.tlog(2B)
--------mt.command.1.tlog(1KB)
--------link.8548-cvtres.read.1.tlog(2B)
--------triangle.pdb(2.67MB)
--------triangle.exe.intermediate.manifest(381B)
--------rc.write.1.tlog(638B)
--------link-cvtres.write.1.tlog(2B)
--------link.2376.write.1.tlog(2B)
----ipch()
--------triangle-ed64a6a1()
----triangle.dsp(3KB)
----triangle.plg(1KB)
----glut32.dll(232KB)
----triangle.opt(48KB)
----triangle.sln(882B)
----triangle.cpp(3KB)
----glut.h(27KB)
----triangle.vcxproj(6KB)
----triangle.dsw(539B)
----triangle.suo(11KB)

网友评论